MODULE Test3; (* Тест вложенных комментариев (* Уровень 2 (* Уровень 3 *) *) *) END Test3.