X-Git-Url: http://deadsoftware.ru/gitweb?a=blobdiff_plain;f=src%2Fgame%2Fstub%2Fg_system.pas;h=6202cb43dc1c95636fd242e62da2ea71c6feb0bb;hb=a2ba3b4f4ea42350560a7670f3be97a55f6d3ab5;hp=abae19018304c4f3ea470920d987319b0212fcab;hpb=96f8e6b190e94847ab2527cc07ee8b19589c05fa;p=d2df-sdl.git diff --git a/src/game/stub/g_system.pas b/src/game/stub/g_system.pas index abae190..6202cb4 100644 --- a/src/game/stub/g_system.pas +++ b/src/game/stub/g_system.pas @@ -19,13 +19,9 @@ interface uses Utils; - (* --- Utils --- *) - function sys_GetTicks (): Int64; - procedure sys_Delay (ms: Integer); - (* --- Graphics --- *) function sys_GetDisplayModes (bpp: Integer): SSArray; - function sys_SetDisplayMode (w, h, bpp: Integer; fullscreen: Boolean): Boolean; + function sys_SetDisplayMode (w, h, bpp: Integer; fullscreen, maximized: Boolean): Boolean; procedure sys_EnableVSync (yes: Boolean); procedure sys_Repaint; @@ -33,26 +29,23 @@ interface function sys_HandleInput (): Boolean; procedure sys_RequestQuit; +{$IFDEF ENABLE_TOUCH} + function sys_IsTextInputActive (): Boolean; + procedure sys_ShowKeyboard (yes: Boolean); +{$ENDIF} + (* --- Init --- *) procedure sys_Init; procedure sys_Final; + var (* hooks *) + sys_CharPress: procedure (ch: AnsiChar) = nil; + sys_ScreenResize: procedure (w, h: Integer) = nil; + implementation uses SysUtils; - (* --------- Utils --------- *) - - function sys_GetTicks (): Int64; - begin - Result := Round(TimeStampToMSecs(DateTimeToTimeStamp(Now()))) - end; - - procedure sys_Delay (ms: Integer); - begin - Sleep(ms) - end; - (* --------- Graphics --------- *) procedure sys_Repaint; @@ -68,13 +61,24 @@ implementation result := nil end; - function sys_SetDisplayMode (w, h, bpp: Integer; fullscreen: Boolean): Boolean; + function sys_SetDisplayMode (w, h, bpp: Integer; fullscreen, maximized: Boolean): Boolean; begin result := true end; (* --------- Input --------- *) +{$IFDEF ENABLE_TOUCH} + function sys_IsTextInputActive (): Boolean; + begin + Result := false + end; + + procedure sys_ShowKeyboard (yes: Boolean); + begin + end; +{$ENDIF} + function sys_HandleInput (): Boolean; begin result := false